Vector-deductive Faults-as-Address Simulation

Authors

  • Anna Hahanova

DOI:

https://doi.org/10.47839/ijc.22.3.3227

Keywords:

vector computing, vector form of logic, matrix of deductive vectors, deductive-vector fault-as-address simulation, read-write transaction, vector model of input faults, functions and structures, sequencer of vector deductive fault simulation

Abstract

The main idea is to create logic-free vector simulation, based on only read-write transactions on address memory. Stuck-at fault vector simulation is leveraged as a technology for assessing the quality of tests for complex IP-cores implemented in Field Programmable Gate Array (FPGA), Application-Specific Integrated Circuit (ASIC). The main task is to implement new simple and reliable models and methods of vector computing based on primitive read-write transactions in the technology of vector flexible interpretive fault simulation. Vector computing is a computational process based on read-write transactions on bits of a binary vector of functionality, where the input data is the addresses of the bits. A vector-deductive method for the synthesis of vectors for propagating input fault lists is proposed, which has a quadratic computational complexity. Analytical expressions of logic that require algorithmically complex computing are replaced by vectors of output states of elements and digital circuits. A new matrix of deductive vectors is synthesized, which is characterized by the following properties: compactness, parallel data processing based on a single read–write transaction in memory, exclusion of traditional logic from fault simulation procedures, full automation of its synthesis process, and focus on the technological solving of many technical diagnostics problems. A new structure of the sequencer for vector deductive fault simulation is proposed, which is characterized by ease of implementation on a single memory block. It eliminates any traditional logic, uses data read-write transactions in memory to form an output fault vector, uses data as addresses to process the data itself.

References

C. E. Shannon, “Von Neumann's contributions to automata theory,” Bulletin American Mathematical Society, vol. 64, 1958, in Claude E. Shannon: Collected Papers, IEEE, pp.831-835, 1993. https://doi.org/10.1090/S0002-9904-1958-10214-1.

M. Davis, “Emil Post's contributions to computer science,” Proceedings of the Fourth Annual Symposium on Logic in Computer Science, 1989, pp. 134-136.

What’s New in the 2022 Gartner Hype Cycle for Emerging Technologies, August 10, 2022.

What’s New in the 2022 Gartner Hype Cycle for Emerging Technologies. Aug. 10, 2022. [Online]. Available at: https://www.gartner.com/en/articles/what-s-new-in-the-2022-gartner-hype-cycle-for-emerging-technologies

M. Abramovici, Digital System Testing and Testable Design, Comp. Sc. Press, 1998.

N. Takahashi, N. Ishiura and S. Yajima, “Fault simulation for multiple faults by Boolean function manipulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 13, no. 4, pp. 531-535, 1994. https://doi.org/10.1109/43.275363.

M. Srivastava, S. K. Goyal, A. Saraswat and G. Gangil, “Simulation models for different power system faults,” Proceedings of the 2020 IEEE International Conference on Advances and Developments in Electrical and Electronics Engineering (ICADEE), pp. 1-6, 2020, https://doi.org/10.1109/ICADEE51157.2020.9368915.

Menon and Chappell, “Deductive fault simulation with functional blocks,” IEEE Transactions on Computers, vol. C-27, no. 8, pp. 689-695, 1978, https://doi.org/10.1109/TC.1978.1675175.

I. Pomeranz and S. M. Reddy, “Forward-looking fault simulation for improved static compaction,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 10, pp. 1262-1265, 2001, https://doi.org/10.1109/43.952743.

Z. Navabi, Digital System Test and Testable Design. Using HDL Models and Architectures, Springer, 2011. https://doi.org/10.1007/978-1-4419-7548-5.

I. Pomeranz and S. M. Reddy, "Hazard-Based Detection Conditions for Improved Transition Fault Coverage of Functional Test Sequences," 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Chicago, IL, USA, 2009, pp. 358-366, https://doi.org/10.1109/DFT.2009.11.

M. Zolfy, S. Mirkhani and Z. Navabi, "Adaptation of an event-driven simulation environment to sequentially propagated concurrent fault simulation," Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, Munich, Germany, 2001, pp. 823, https://doi.org/10.1109/DATE.2001.915173.

S. Moazzeni, A. Emami and S. Poormozaffari, "An Optimized Simulation-Based Fault Injection and Test Vector Generation Using VHDL to Calculate Fault Coverage," 2009 10th International Workshop on Microprocessor Test and Verification, Austin, TX, USA, 2009, pp. 55-60, https://doi.org/10.1109/MTV.2009.22.

I. Pomeranz and S. M. Reddy, "Unspecified Transition Faults: A Transition Fault Model for At-Speed Fault Simulation and Test Generation," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 1, pp. 137-146, Jan. 2008, https://doi.org/10.1109/TCAD.2007.907000.

L. Wu, M. K. Hussain, S. Abughannam, W. Müller, C. Scheytt and W. Ecker, "Analog fault simulation automation at schematic level with random sampling techniques," 2018 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era (DTIS), Taormina, Italy, 2018, pp. 1-4, https://doi.org/10.1109/DTIS.2018.8368549.

V. I. Hahanov, S. M. Hyduke, W. Gharibi, E. I. Litvinova, S. V. Chumachenko and I. V. Hahanova, “Quantum models and method for analysis and testing computing systems,” Proceedings of the 2014 11th International Conference on Information Technology: New Generations, Las Vegas, NV, 2014, pp. 430-434, https://doi.org/10.1109/ITNG.2014.125.

M. Karavay, V. Hahanov, E. Litvinova, H. Khakhanova and I. Hahanova, “Qubit fault detection in SoC logic,” Proceedings of the 2019 IEEE East-West Design & Test Symposium (EWDTS), Batumi, Georgia, 2019, pp. 1-7, https://doi.org/10.1109/EWDTS.2019.8884475.

V. Hahanov, Cyber Physical Computing for IoT-driven Services, New York, Springer 2018. https://doi.org/10.1007/978-3-319-54825-8.

V. Hahanov, I. Yemelyanov, V. Obrizan and I. Hahanov, “‘Quantum’ diagnosis and simulation of SoC,” Proceedings of the 2015 XI International Conference on Perspective Technologies and Methods in MEMS Design (MEMSTECH), 2015, pp. 58-60.

V. Hahanov, E. Litvinova, O. Shevchenko, S. Chumachenko, H. Khakhanova and I. Hahanov, “Vector models for modeling logic based on XOR-relations,” Proceedings of the 2022 IEEE 16th International Conference on Advanced Trends in Radioelectronics, Telecommunications and Computer Engineering (TCSET), 2022, pp. 823-828, https://doi.org/10.1109/TCSET55632.2022.9766894.

G. Harutunvan, V. A. Vardanian and Y. Zorian, “Minimal march tests for unlinked static faults in random access memories,” Proceedings of the 23rd IEEE VLSI Test Symposium (VTS'05), 2005, pp. 53-59, https://doi.org/10.1109/VTS.2005.56.

M. Psarakis, D. Gizopoulos, A. Paschalis and Y. Zorian, “Sequential fault modeling and test pattern generation for CMOS iterative logic arrays,” IEEE Transactions on Computers, vol. 49, no. 10, pp. 1083-1099, 2000, https://doi.org/10.1109/12.888044.

M. Renovell, J. M. Portal, J. Figueras and Y. Zorian, “RAM-based FPGAs: a test approach for the logic,” Proceedings Design, Automation and Test in Europe, Paris, France, 1998, pp. 82-88, https://doi.org/10.1109/DATE.1998.655840.

M. Psarakis, D. Gizopoulos, A. Paschalis and Y. Zorian, “Sequential fault modeling and test pattern generation for CMOS iterative logic arrays,” IEEE Transactions on Computers, vol. 49, no. 10, pp. 1083-1099, 2000, https://doi.org/10.1109/12.888044.

U. Reinsalu, J. Raik, R. Ubar and P. Ellervee, “Fast RTL fault simulation using decision diagrams and bitwise set operations,” Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Vancouver, BC, 2011, pp. 164-170, https://doi.org/10.1109/DFT.2011.42.

R. Ubar, S. Devadze, J. Raik and A. Jutman, “Fast fault simulation for extended class of faults in scan path circuits,” Proceedings of the 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications, Ho Chi Minh City, 2010, pp. 14-19, https://doi.org/10.1109/DELTA.2010.32.

U. Reinsalu, J. Raik and R. Ubar, “Register-transfer level deductive fault simulation using decision diagrams,” Proceedings of the 2010 12th Biennial Baltic Electronics Conference, 2010, pp. 193-196, https://doi.org/10.1109/BEC.2010.5631842.

D. B. Armstrong, “A deductive method for simulating faults in logic circuits,” IEEE Transactions on Computers, vol. C-21, no. 5, pp. 464-471, 1972, https://doi.org/10.1109/T-C.1972.223542.

N. Vinod et al., “Performance evaluation of LUTs in FPGA in different circuit topologies,” Proceedings of the 2020 International Conference on Communication and Signal Processing (ICCSP), 2020, pp. 1511-1515, https://doi.org/10.1109/ICCSP48568.2020.9182074.

Downloads

Published

2023-10-01

How to Cite

Hahanova, A. (2023). Vector-deductive Faults-as-Address Simulation. International Journal of Computing, 22(3), 328-334. https://doi.org/10.47839/ijc.22.3.3227

Issue

Section

Articles